summaryrefslogtreecommitdiff
path: root/sample/gates.hence
blob: d0043916f2ed5bcd15d9d8dcd437b333f41853a6 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
module gates

input a
input b

expr And: and a b
expr Or: or a b
expr Nand: nand a b
expr Nor: nor a b
expr Xor: xor a b
expr nota: not a
expr notb: not b