summaryrefslogtreecommitdiff
path: root/top.v
blob: 6d981509f4b3a529143ef1711ca7cdaf1afe8cbc (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
module top(
	input clk_i,
	output led_o,
);

blink #(
	.COUNTER_WIDTH(24),
	// 25 MHz clock => 1 Hz
	.OVERFLOW(12_500_000)
)
blink_inst(
	.clk_i(clk_i),
	.rst_i(1'b0),
	.led_o(led_o)
);

endmodule