summaryrefslogtreecommitdiff
path: root/blink.v
diff options
context:
space:
mode:
Diffstat (limited to 'blink.v')
-rw-r--r--blink.v2
1 files changed, 1 insertions, 1 deletions
diff --git a/blink.v b/blink.v
index d11cd1c..44130ae 100644
--- a/blink.v
+++ b/blink.v
@@ -12,7 +12,7 @@ reg[COUNTER_WIDTH-1:0] counter;
always @(posedge clk_i) begin
if (rst_i) begin
counter <= 0;
- led <= 1;
+ led_o <= 1;
end else if (counter == OVERFLOW-1) begin
led_o <= ~led_o;
counter <= 0;