summaryrefslogtreecommitdiff
path: root/Makefile
diff options
context:
space:
mode:
Diffstat (limited to 'Makefile')
-rw-r--r--Makefile5
1 files changed, 4 insertions, 1 deletions
diff --git a/Makefile b/Makefile
index a1842aa..407d8aa 100644
--- a/Makefile
+++ b/Makefile
@@ -12,6 +12,9 @@ all: $(TOP).svf
clean:
rm -f *.svf *.bit *.json
+lint:
+ verilator --lint-only $(OBJS)
+
program: $(TOP).svf
# probably not very useful to anyone without more configurable paths
openocd -f /usr/share/openocd/scripts/interface/ftdi/dp_busblaster_kt-link.cfg \
@@ -19,7 +22,7 @@ program: $(TOP).svf
-c "init; svf $^; shutdown"
%.json: $(OBJS)
- yosys -p "synth_ecp5 -json $@" $(OBJS)
+ yosys -e ".*" -p "synth_ecp5 -json $@" $(OBJS)
%.config: %.json %.lpf
nextpnr-ecp5 \