summaryrefslogtreecommitdiff
path: root/blink.v
diff options
context:
space:
mode:
authorDavid Phillips <david@yeah.nah.nz>2021-10-31 12:10:11 +1300
committerDavid Phillips <david@yeah.nah.nz>2021-10-31 12:10:54 +1300
commitf2fc6a0b45929f81d69c5f17997880a51a53ddf0 (patch)
treeb3522ca14c824f30926617391c321a8efc61c68b /blink.v
parent53419d0003297bb6aa85b760e0892c3ab9936708 (diff)
downloadcolorlight-5a-75e-blinky-f2fc6a0b45929f81d69c5f17997880a51a53ddf0.tar.xz
Fix linting errors, dead wire
Diffstat (limited to 'blink.v')
-rw-r--r--blink.v2
1 files changed, 1 insertions, 1 deletions
diff --git a/blink.v b/blink.v
index d11cd1c..44130ae 100644
--- a/blink.v
+++ b/blink.v
@@ -12,7 +12,7 @@ reg[COUNTER_WIDTH-1:0] counter;
always @(posedge clk_i) begin
if (rst_i) begin
counter <= 0;
- led <= 1;
+ led_o <= 1;
end else if (counter == OVERFLOW-1) begin
led_o <= ~led_o;
counter <= 0;