summaryrefslogtreecommitdiff
diff options
context:
space:
mode:
authorDavid Phillips <david@yeah.nah.nz>2021-10-31 12:10:11 +1300
committerDavid Phillips <david@yeah.nah.nz>2021-10-31 12:10:54 +1300
commitf2fc6a0b45929f81d69c5f17997880a51a53ddf0 (patch)
treeb3522ca14c824f30926617391c321a8efc61c68b
parent53419d0003297bb6aa85b760e0892c3ab9936708 (diff)
downloadcolorlight-5a-75e-blinky-f2fc6a0b45929f81d69c5f17997880a51a53ddf0.tar.xz
Fix linting errors, dead wire
-rw-r--r--Makefile5
-rw-r--r--blink.v2
-rw-r--r--top.v2
3 files changed, 6 insertions, 3 deletions
diff --git a/Makefile b/Makefile
index a1842aa..407d8aa 100644
--- a/Makefile
+++ b/Makefile
@@ -12,6 +12,9 @@ all: $(TOP).svf
clean:
rm -f *.svf *.bit *.json
+lint:
+ verilator --lint-only $(OBJS)
+
program: $(TOP).svf
# probably not very useful to anyone without more configurable paths
openocd -f /usr/share/openocd/scripts/interface/ftdi/dp_busblaster_kt-link.cfg \
@@ -19,7 +22,7 @@ program: $(TOP).svf
-c "init; svf $^; shutdown"
%.json: $(OBJS)
- yosys -p "synth_ecp5 -json $@" $(OBJS)
+ yosys -e ".*" -p "synth_ecp5 -json $@" $(OBJS)
%.config: %.json %.lpf
nextpnr-ecp5 \
diff --git a/blink.v b/blink.v
index d11cd1c..44130ae 100644
--- a/blink.v
+++ b/blink.v
@@ -12,7 +12,7 @@ reg[COUNTER_WIDTH-1:0] counter;
always @(posedge clk_i) begin
if (rst_i) begin
counter <= 0;
- led <= 1;
+ led_o <= 1;
end else if (counter == OVERFLOW-1) begin
led_o <= ~led_o;
counter <= 0;
diff --git a/top.v b/top.v
index 6d98150..c8f736d 100644
--- a/top.v
+++ b/top.v
@@ -1,6 +1,6 @@
module top(
input clk_i,
- output led_o,
+ output led_o
);
blink #(