From e7a3f6377c18b346f455f5f7e5ad2a73d0a032f7 Mon Sep 17 00:00:00 2001 From: David Phillips Date: Mon, 25 Oct 2021 14:54:57 +1300 Subject: Initial commit --- blink.v | 23 +++++++++++++++++++++++ 1 file changed, 23 insertions(+) create mode 100644 blink.v (limited to 'blink.v') diff --git a/blink.v b/blink.v new file mode 100644 index 0000000..d11cd1c --- /dev/null +++ b/blink.v @@ -0,0 +1,23 @@ +module blink( + input rst_i, + input clk_i, + output reg led_o +); + +parameter COUNTER_WIDTH = 32; +parameter OVERFLOW = 25_000_000; + +reg[COUNTER_WIDTH-1:0] counter; + +always @(posedge clk_i) begin + if (rst_i) begin + counter <= 0; + led <= 1; + end else if (counter == OVERFLOW-1) begin + led_o <= ~led_o; + counter <= 0; + end else + counter <= counter + 1; +end + +endmodule -- cgit v1.1